FutureWiz
loading...
Thank you for your query. We will reply to you at the earliest.

AXI4/4 Lite/3

Products >> Verification IP >> AXI4/4 Lite/3

AMBA AXI4 Verification IP

Truechip's AMBA AXI4 Verification IP provides an effective & efficient way to verify the components interfacing with AMBA® AXI4 bus of an IP or SoC. Truechip's AMBA AXI4 VIP is fully compliant with standard AMBA® AXI4 specification from ARM. This VIP is a light weight VIP with easy plug-and-play interface so that there is no hit on the design cycle time.

Key Benefits

  • Available in native SystemVerilog (UVM/OVM/VMM) and Verilog 
  • Unique development methodology to ensure highest levels of quality
  • Availability of various Regression Test Suites
  • 24X5 customer support
  • Unique and customizable licensing models
  • Exhaustive set of assertions and cover points with connectivity example for all the components
  • Consistency of interface, installation, operation and documentation across all our VIPs
  • Provide complete solution and easy integration in IP and SoC environment

Features

  • Compliant to AMBA® AXI4 specifications from ARM and
  • supports for all variants of AXI4, AXI4-Lite and AXI4 Stream.
  • Support for all type of AMBA AXI4 devices.
  • Strong protocol checking Bus Monitor which also provides statistics of the transactions.
  • Parameterized data and address bus.
  • Supports for all protocol Burst Types, Burst Lengths and Response Types.
  • Configurable modes for Valid and Ready on different channels.
  • Rich set of configuration parameters to control AXI4 functionality.
  • Supports FIFO, memory and Cache Model integrated.
  • Supports Privilege and Secure accesses.
  • Supports out of order transactions with parametrized out of order width.
  • Supports data interleaving on read data channel.
  • Supports Endianess check and conversion.
  • Supports exclusive transfers and configurable Memory.
  • Supports UVM_RAL Model.
  • Provides detailed performance monitoring for all the transfers.
  • Supports advanced SystemVerilog features like constrained random testing.
  • Supports dynamically configurable modes.
  • Strong Protocol Monitor with real time exhaustive programmable checks.
  • Supports Dynamic as well as Static Error Injection scenarios.
  • On the fly protocol checking using protocol check functions, static and dynamic assertion.
  • Built in Extensive Coverage Across the Channels.
  • Provides a comprehensive user API (callbacks) in all BFMs.
  • Graphical analyser to show transactions for easy debugging.

Deliverables

  • AMBA AXI4 Master/Slave Agent
  • AMBA AXI4 Bus Monitor and Scoreboarding
  • AMBA AXI4 Interconnect Model (Optional)
  • Test Environment & Test Suite :
    •  Basic and Directed Protocol Tests
    •  Random Tests
    •  Error Scenario Tests
    •  Assertions & Cover Point Tests
  • Integration Guide, User Manual and Release Notes
Download the Product Brochure from here